Staged reads: mitigating the impact of DRAM writes on DRAM reads

Update Item Information
Publication Type Journal Article
School or College College of Engineering
Department Computing, School of
Creator Balasubramonian, Rajeev
Other Author Chatterjee, Niladrish; Muralimanohar, Naveen; Davis, Al, Jouppi, Norman P.
Title Staged reads: mitigating the impact of DRAM writes on DRAM reads
Date 2012-01-01
Description Main memory latencies have always been a concern for system performance. Given that reads are on the criti- cal path for CPU progress, reads must be prioritized over writes. However, writes must be eventually processed and they often delay pending reads. In fact, a single channel in the main memory system offers almost no parallelism between reads and writes. This is because a single off-chip memory bus is shared by reads and writes and the direction of the bus has to be explicitly turned around when switching from writes to reads. This is an expensive operation and its cost is amortized by carrying out a burst of writes or reads every time the bus direction is switched. As a result, no reads can be processed while a memory channel is busy servicing writes. This paper proposes a novel mechanism to boost read-write parallelism and perform useful components of read operations even when the memory system is busy performing writes. If some of the banks are busy servicing writes, we start issuing reads to the other idle banks. The results of these reads are stored in a few registers near the memory chip's I/O pads. These results are quickly returned immediately following the bus turnaround. The process is referred to as a Staged Read because it decouples a single read operation into two stages, with the first step being performed in parallel with writes. This innovation can also be viewed as a form of prefetch that is internal to a memory chip. The proposed tech- nique works best when there is bank imbalance in the write stream. We also introduce a write scheduling algorithm that artificially creates bank imbalance and allows useful read operations to be performed during the write drain. Across a suite of memory-intensive workloads, we show that Staged Reads can boost throughput by up to 33% (average 7%) with an average DRAM access latency improvement of 17%, while incurring a very small cost (0.25%) in terms of memory chip area. The throughput improvements are even greater when considering write-intensive work-loads (average 11%) or future systems (average 12%).
Type Text
Publisher Institute of Electrical and Electronics Engineers (IEEE)
Volume 6168943
First Page 41
Last Page 52
Dissertation Institution University of Utah
Language eng
Bibliographic Citation Chatterjee, N., Muralimanohar, N., Balasubramonian, R., Davis, A., & Jouppi, N. P. (2012). Staged reads: mitigating the impact of DRAM writes on DRAM reads. Proceedings - International Symposium on High-Performance Computer Architecture, 6168943, 41-52.
Rights Management (c) 2011 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.
Format Medium application/pdf
Format Extent 2,723,250 bytes
Identifier uspace,17317
ARK ark:/87278/s6fn1qzq
Setname ir_uspace
ID 707878
Reference URL https://collections.lib.utah.edu/ark:/87278/s6fn1qzq
Back to Search Results