|
|
Creator | Title | Description | Subject | Date |
626 |
 | Rashid, Khalid; Powell, Kody | Dynamic simulation, control, and design of a novel solar thermal hybrid power plant | Solar power is among the promising technologies leading towards cleaner fuel. However, there are still technological challenges regarding the reliability of power generation due to its intermittency. This work demonstrates the synergies that exist in integrated hybrid systems, where a dispatchable f... | Solar energy--Research; Solar thermal energy--Research; Solar power plants--Research | 2017 |
627 |
 | Bargteil, Adam Wade | Dynamic sprites | Traditional methods for creating dynamic objects and characters from static drawings involve careful tweaking of animation curves and/or simulation parameters. Sprite sheets offer a more drawing-centric solution, but they do not encode timing information or the logic that determines how objects shou... | | 2014-01-01 |
628 |
 | Bargteil, Adam Wade | Dynamic sprites | Traditional methods for creating dynamic objects and characters from static drawings involve careful tweaking of animation curves and/or simulation parameters. Sprite sheets offer a more drawing-centric solution, but they do not encode timing information or the logic that determines how objects shou... | | 2013-01-01 |
629 |
 | Balasubramonian, Rajeev | Dynamically allocating processor resources between nearby and distant ILP | Modern superscalar processors use wide instruction issue widths and out-of-order execution in order to increase instruction-level parallelism (ILP). Because instructions must be committed in order so as to guarantee precise exceptions, increasing ILP implies increasing the sizes of structures s... | Instruction-level parallelism; Microarchitecture; Primary thread; Future thread; Instruction reuse buffer | 2001 |
630 |
 | Balasubramonian, Rajeev | Dynamically managing the communication-parallelism trade-off in future clustered processors | Clustered microarchitectures are an attractive alternative to large monolithic superscalar designs due to their potential for higher clock rates in the face of increasingly wire-delay-constrained process technologies. As increasing transistor counts allow an increase in the number of clusters, th... | Clustered architectures; Microarchitecture; Decentralized cache; Interconnects | 2003 |
631 |
 | Balasubramonian, Rajeev | Dynamically tunable memory hierarchy | The widespread use of repeaters in long wires creates the possibility of dynamically sizing regular on-chip structures. We present a tunable cache and translation lookaside buffer (TLB) hierarchy that leverages repeater insertion to dynamically trade off size for speed and power consumption on a per... | Microarchitecture; High performance microprocessors; Reconfigurable architectures; Energy and performance of on-chip caches; Translation lookaside buffer (TLB); Tunable cache | 2003-10 |
632 |
 | Balasubramonian, Rajeev | Dynamically tuning processor resources with adaptive processing | Using adaptive processing to dynamically tune major microprocessor resources, developers can achieve greater energy efficiency with reasonable hardware and software overhead while avoiding undue performance loss. | Adaptive processing; Energy efficiency; DRI-cache | 2003-12 |
633 |
 | Tasdizen, Tolga | Edge enhanced spatio-temporal constrained reconstruction of undersampled dynamic contrast enhanced radial MRI | There are many applications in MRI where it is desirable to have high spatial and high temporal resolution. This can be achieved by undersampling of k-space and requires special techniques for reconstruction. Even if undersampling artifacts are removed, sharpness of the edges can be a problem. We pr... | | 2010 |
634 |
 | Regehr, John | Edicts: implementing features with flexible binding times | In a software product line, the binding time of a feature is the time at which one decides to include or exclude a feature from a product. Typical binding site implementations are intended to support a single binding time only, e.g., compile time or run time. Sometimes, however, a product line must... | | 2008-01-01 |
635 |
 | Brunvand, Erik L. | Editorial asynchronous architecture | Asynchronous design is enjoying a worldwide resurgence of interest following several decades in obscurity. Many of the early computers employed asynchronous design techniques, but since the mid 1970s almost all digital design has been based around the use of a central clock. The clock simplifies mos... | | 1996-01-01 |
636 |
 | Myers, Chris J. | Effcient verification of hazard-freedom in gate-level timed asynchronous circuits | This paper presents an efficient method for verifying hazard freedom in timed asynchronous circuits. Timed circuits are a class of asynchronous circuits that utilize explicit timing information fur optimization throughout the entire design process. In asynchronous circuits, correct operation require... | | 2003 |
637 |
 | Miller, Jan D. | Effect of feed source in the hot water processing of Utah tar sand | The processing strategy for the effective separation of bitumen from low grade (K.10 weight percent bitumen) Utah tar sands by a hot water process differs significantly from that used for the processing of high grade (> 10 weight percent bitumen) Utah tar sands. Excellent separations (coefficient o... | Tar sand; Utah; bitumen; hot water separation; froth flotation | 1980 |
638 |
 | Scarpulla, Michael | Effect of film thickness on the incorporation of Mn interstitials in Ga1-xMnxAs | We have investigated the effect of film thickness on the distribution of Mn atoms at various lattice sites in Ga1−xMnxAs thin films. We find that the growth surface acts as a sink facilitating the outdiffusion of Mn interstitials sMnId, and thus reducing its concentration in the film. The outdiffu... | Interstitials; Gallium arsenide | 2005 |
639 |
 | Stringfellow, Gerald B. | Effect of growth rate on step structure and ordering in GaInP | CuPt ordering is widely observed in GaInP epitaxial layers grown by organometallic vapor phase epitaxy. The formation of this spontaneously ordered structure during epitaxial growth is intimately related to the atomic-scale physical processes occurring on the surface, specifically surface reconst... | Atomic force microscopy; Organometallic vapor phase; Crystallographic plane | 1997 |
640 |
 | Miller, Jan D. | Effect of ink types and printing processes on flotation deinking | Examination of ink types reveals that newsprint oil-based offset-cold and offset-heat inks contain substantial oil (45 to 60%) and resin (5 to 35%), water-based ink contains water (40%) and resin (polystyrene, 30%). These inks are liquids with densities around 1 g/cm3, having various viscosities... | Toners; inks; photocopying; laser printing; flotation; deinking; recycling; office waste; hyrdophobicity | 1997 |
641 |
 | Balasubramonian, Rajeev | The effect of interconnect design on the performance of large L2 caches | The ever increasing sizes of on-chip caches and the growing domination of wire delay have changed the traditional design approach of the memory hierarchy. Many recent proposals advocate splitting the cache into a large number of banks and employ an on-chip network to allow fast access to nearby ban... | | 2006 |
642 |
 | Chrysler, Andrew | Effect of material properties on a subdermal UHF RFID antenna | This paper explores a subdermal RFID antenna at 918 MHz. The antenna, made from ink encapsulated in thin sheets of biocompatible PET, is designed to be implanted in the fat layer just below the skin, with the muscle acting as a lossy ground plane. The antenna is a patch that uses a T-slot for matchi... | Implantable Antennas; Subdermal antennas; Tattoo Antennas; Radio Frequency Identification (RFID); Conductivity | 2018 |
643 |
 | Stringfellow, Gerald B. | Effect of oxygen incorporation in semi-insulating (AlxGa1-x)yIn1-yP | Discusses a study conducted on oxygen-doped, semi-insulating layers of (aluminum-gallium) indium phosphide grown on gallium arsenide using organometallic vapor phase epitaxy. Effect of oxygen doping on semi-insulating layers of the substance; Secondary-ion mass spectrometry measurements; Measured co... | Inactive interstitial atoms; Energy-dispersive spectoscopy; intentional oxygen | 1992 |
644 |
 | Miller, Jan D. | Effect of pH on pulping and flotation of mixed office wastepaper | The effect of pulping reagents on the deinking flotation of laser-printed wastepaper was investigated with regard to the removal efficiency of toner and mineral filler particles at different pH values. These results show that caustic pulping causes the toner to be released from the fibres as larger... | Wastepaper; deinking; pH values; pulp production processes | 1999 |
645 |
 | Liu, Feng | Effect of size and dimensionality on the magnetic moment of transition metals | The effect of size and dimensionality on the magnetic moments of Fe, Co, and Ni have been studied theoretically by confining the atoms t o various structural forms such as chains,surfaces, and thin films. The size of these systems is controlled by limiting t h e number of atoms. A new first-princip... | Magnetic moment; Dimensionality; Size effects; Fe; Co; Ni | 1990 |
646 |
 | Stringfellow, Gerald B. | Effect of step structure on ordering in GaInP | Examines the effect of step structure on ordering in gallium indium phosphite (GaInP) using atomic force microscopy. Coverage of the surface by islands several monolayers in height with elongated direction; Formation of the edges of the islands; Role of the observations in explaining the nature of t... | Gallium indium phosphite (GaInP); Twin boundaries | 1995-11-12 |
647 |
 | Miller, Jan D. | Effect of surface oxidation on interfacial water structure at the pyrite (100) surface as studied by MDS | Flotation provides a number of alternatives for the processing of gold ores containing sulfide minerals. e.g. flotation of free gold and gold-bearing sulfides to produce a gold-rich concentrate for regrinding, oxidative pretreatment and cyanidation. | | 2014-01-01 |
648 |
 | Stringfellow, Gerald B. | Effect of surfactant Sb on carrier lifetime in GaInP epilayers | Samples of Ga0.52In0.48P grown on (001) GaAs with small amounts of surfactant Sb were investigated using time-resolved photoluminescence. All samples show a luminescence that may be fit to a two-stage exponential decay with a fast and a slow lifetime. For growth without Sb (Sb/III(v)(0), the sample ... | Semiconductor ternary alloys; Epitaxial layer; Microstructures | 2002-01-01 |
649 |
 | Riloff, Ellen M. | Effective information extraction with semantic affinity patterns and relevant regions | We present an information extraction system that decouples the tasks of finding relevant regions of text and applying extraction patterns. We create a self-trained relevant sentence classifier to identify relevant regions, and use a semantic affinity measure to automatically learn domain-relevant ex... | Information extraction; Semantic affinity patterns; Relevant regions; MUC-4 terrorism corpus; ProMed disease outbreak stories | 2007 |
650 |
 | Stringfellow, Gerald B.; Rieth, Loren W. | Effects of Br and Cl on organometallic vapor phase epitaxial growth and ordering in GaInP | CuPt ordering in GaInP has significant effects on the electrical and optical properties. In fact, band gap reductions as large as 160 meV are potentially useful for devices. Thus, control of ordering is important. This has led to the investigation of surfactants that affect the surface processes d... | Band gap reductions; Surfactants; Surface processes | 2004 |