Fred: an architecture for a self-timed decoupled computer

Update Item Information
Publication Type Journal Article
School or College College of Engineering
Department Computing, School of
Creator Richardson, William F.
Other Author Brunvand, Erik
Title Fred: an architecture for a self-timed decoupled computer
Date 1995
Description Decoupled computer architectures provide an effective means of exploiting instruction level parallelism. Self-timed micropipeline systems are inherently decoupled due to the elastic nature of the basic FIFO structure, and may be ideally suited for constructing decoupled computer architectures. Fred is a self-timed decoupled, pipelined computer architecture based on micropipelines. We present the architecture of Fred, with specific details on a micropipelined implementation that includes support for multiple functional units and out-of- order instruction completion due to the self-timed decoupling.
Type Text
Publisher University of Utah
First Page 1
Last Page 13
Subject Decoupled computer; Fred
Language eng
Bibliographic Citation Richardson, W. F., & Brunvand, E. (1995). Fred: an architecture for a self-timed decoupled computer. 1-13. UUCS-95-008.
Series University of Utah Computer Science Technical Report
Relation is Part of ARPANET
Rights Management ©University of Utah
Format Medium application/pdf
Format Extent 2,536,710 bytes
Identifier ir-main,16204
ARK ark:/87278/s6sj23sg
Setname ir_uspace
ID 703187
Reference URL https://collections.lib.utah.edu/ark:/87278/s6sj23sg
Back to Search Results